fbpx

Spotlight on DRAM

This market research report was originally published at Yole Développement’s website. It is reprinted here with the permission of Yole Développement.

Global challenges and technical hurdles are merely speed bumps for the charging DRAM business.

Despite the pandemic and trade-war tensions, the DRAM market has grown throughout the years 2020 (revenue up 7%) and 2021 (revenue up 41%). Constrained production and strong demand growth across most segments were the key ingredients for the prosperity of the DRAM business. DRAM remains the largest memory market segment by revenue: in 2021, it reached $94B and accounted for more than 56% of the total stand-alone memory market.

Amid semiconductor shortages and various global challenges, DRAM is expected to continue growing in 2022, with an annual growth rate of ~25%. And this is not all, as DRAM will continue expanding in the longer term and is poised to grow to over US$150B in 2027 with a CAGR during 2021-2027 of 9%. However, cyclicality will remain.

Yole Group’s analysts, Simone Bertolazzi from Yole Intelligence and Belinda Dube from Yole SystemPlus propose today, a snapshot of the memory industry, extracted from both reports: Status of the Memory Industry 2022 and LPDDR5 Memory Comparison 2022.

DRAM technology and business: a fierce competition among three major suppliers.

DRAM is a highly concentrated market with three main players – Samsung (Korea), SK hynix (Korea), and Micron (U.S.) – that together hold more than 93% of the overall market. Taiwanese companies (Nanya, Winbond, Powerchip) hold a combined market share of almost ~5%. The rising DRAM player from China –CXMT – has been selling DRAM products in 2021 targeting the domestic Chinese market for client PC and consumer applications.

Yole SystemPlus recently shed light on the competitive technology landscape through an extensive analysis of cutting-edge LPDDR5 memory. This comes with improved power management –vital to reduce battery drainage in smartphones – and improved performance and efficiency due to the small transistor process technology. LPDDR5 devices reach a speed of 6,400Mbps, almost 1.5 times faster than the previous generation of low-power DRAM memories. Usually paired with system-on-chip processors, the LPDDR5 package is mounted on the System-on-Chip (SoC) package to establish direct and rapid communication with the smartphone processor. SK hynix’s LPDDR5 8Gb dies are produced using the 1y technology node, as are Micron’s 12Gb dies, while Micron’s 16Gb dies are built using the 1Dz technology node.

Samsung manufactures its 16Gb dies using the 1Dz technology node resulting in improved die density compared to its competitors. DRAM cell size scaling is becoming complex with each node advancement; hence Samsung sets apart its manufacturing process by employing EUV lithography to shrink the DRAM cells while reducing the patterning steps. The LPDDR5 1Dz cell design used by Samsung is highly competitive compared to Micron’s and SK hynix’s LPDDR5 memory, as Samsung produces a memory cell that is relatively smaller than its competitors. Smaller DRAM cells produce denser memory dies that could result in reduced memory die size without a compromise in die capacity. Die shrinkage is important in improving productivity and is needed for high volume manufacturing to meet the demand for low power DRAM memory with a reduction of memory package footprint on smartphone boards. It is estimated that Samsung produces more than 2,000GB of 1Dz LPDDR5 per 300mm wafer. Samsung’s cutting-edge LPDDR5 process combines cell shrinkage and EUV lithography processes resulting in denser memories and reduced manufacturing steps, thus remaining cost competitive.

“The future of DRAM is DRAM”: new technical solutions will enable continuous bit-density scaling and performance improvement.

DRAM scalability was expected to end a few years ago, but new technical solutions have enabled the development of a 3rd 10nm-class generation (1z) and, potentially, even beyond. Overall, DRAM scaling is very challenging and is slowing compared to the past – both in terms of bit density (Gb/mm2) and cost-per-bit ($/Gb) – but it keeps moving forward! Despite rising technical challenges, DRAM will continue to be the workhorse memory technology, as new technological solutions – such as EUV lithography, hybrid bonding, and 3D DRAM – will enable continuous density scaling and performance growth.

Nowadays, there is a consensus that planar scaling – even through lithography EUV processes – will not be sufficient to provide the required bit-density improvement for the entire next decade. The industry urgently needs materials and architecture breakthroughs to enable further DRAM scaling to reduce cost, minimize power, and increase speed. Hence, monolithic 3D DRAM – the DRAM equivalent of 3D NAND – is already being considered by major equipment suppliers and by leading DRAM manufacturers as a potential solution for long-term scaling. Yole’s analysts believe that this novel 3D technology could make its entry into the market in the 2029–2030-time frame.

The processor-memory interface is also rapidly evolving to meet the demands of emerging data-intensive applications: memory sizes must increase, as must the bandwidth between memory and the CPU. A variety of interfaces and protocols are in the works, among which are HBM3 – which was recently released by JEDEC (January 2022) – and CXL, which has gained steam for adoption as a “far memory” interconnect. Novel processing-in-memory technologies were recently introduced into the market by major players (e.g., Samsung-Xilinx, SK hynix) to overcome the so-called “memory wall”.

Overall, a variety of different solutions are being explored by major companies within the DRAM memory ecosystem, and we are confident that technical challenges will not stop DRAM progress despite risks of slowing down due to the need for further innovation and investment.

Simone Bertolazzi, PhD
Senior Technology & Market Analyst, Memory, Semiconductor, Memory & Computing division, Yole Intelligence

Belinda Dube
Technology & Cost Analyst, Yole SystemPlus

Acronyms

  • LPDDR5: Low Power Dual Data Rate, 5th generation
  • HBM3: High Bandwidth Memory, 3rd generation
  • CXL: Compute Express Link
  • EUV: Extreme Ultra Violet

Here you’ll find a wealth of practical technical insights and expert advice to help you bring AI and visual intelligence into your products without flying blind.

Contact

Address

1646 N. California Blvd.,
Suite 360
Walnut Creek, CA 94596 USA

Phone
Phone: +1 (925) 954-1411
Scroll to Top