fbpx

Advanced Semiconductor Packaging: A New Geopolitical Battleground

This market research report was originally published at the Yole Group’s website. It is reprinted here with the permission of the Yole Group.

Geopolitical tensions are making advanced semiconductor packaging increasingly crucial…

OUTLINE

  • The Advanced Packaging (AP) market, valued at US$44.3 billion in 2022, is expected to grow at a CAGR of 10.6% from 2022 to 2028. It will reach US$78.6 billion at the end of the period.

  • Innovating beyond Moore’s law: chiplets and hybrid bonding open new frontiers.

  • The AP supply chain is the new geopolitical battleground as most of the production is currently located in Asia.

The AP market will have a 10.6% CAGR between 2022 and 2028 to US$78.6 billion. In contrast, the traditional packaging market is projected to have a slower 3.2% CAGR from 2022 to 2028, with a US$57.5 billion market value at the end of the period. Overall, the packaging market is forecasted to grow at a CAGR of 6.9% to $136 billion.

In its new annual Status of the Advanced Packaging Industry 2023 report, Yole Intelligence, part of Yole Group, forecasts that the AP market accounted for approximately 47% of the total IC packaging market in 2022. Its share is steadily increasing due to various megatrends. Within the AP market, the Flip-Chip platform, which includes FCBGA and FCCSP , held a 51% market share in 2022. The segments expected to have the highest revenue CAGR from 2022 to 2028 are ED , 2.5D/3D, and flip-chip, with growth rates of 30%, 19%, and 8.5%, respectively.

“Mobile & consumer represented 70% of the total AP market in 2022. This segment is projected to have a 7% CAGR from 2022 to 2028, constituting 61% of the AP revenue by 2028. The telecom & infrastructure segment is the fastest growing, with an estimated revenue growth rate of around 17%. Automotive & transportation will make up 9% of the market, while other segments like medical, industrial, and aerospace/defense will account for 3%.”
Bilal Hachemi, Ph.D.
Technology and Market Analyst, Packaging,Semiconductor, Memory, and Computing Division, Yole Intelligence (part of the Yole Group)

Although traditional packaging currently dominates wafer production with nearly 71% of the total output in 2022, the AP market is gradually increasing its share.

The AP wafer’s market share is anticipated to grow from approximately 29% in 2022 to 37% in 2028. In terms of units, traditional packaging holds over 94% of the market share, but AP shipments are expected to grow at a CAGR of about 6% from 2022 to 2028 (in volume).

“The semiconductor value chain, including AP, has gained attention due to a chip shortage and geopolitical tensions. Governments are investing in understanding and strengthening domestic ecosystems.”
Gabriela Pereira
Technology and Market Analyst, Packaging, Semiconductor, Memory, and Computing Division, Yole Intelligence (part of the Yole Group)

The conflict between the US and China disrupts supply chains, affecting semiconductor companies’ access to chips and equipment. AP is key for the post-Moore’s law era, with the AP market projected to reach US$78 billion by 2028. However, trade tensions have led to new supply chains and production relocations, diversifying the supply chain but risking the replacement of China’s production capacity.

Seven players dominate AP, with OSATs accounting for 65.1% of AP wafers. OSATs expand testing expertise, while traditional test players invest in packaging. The industry sees a paradigm shift as players from different models enter packaging, cannibalizing OSATs’ business. Substrate supply has been tight, impacting material availability and leading to extended delivery lead times and price increases. Decreased demand and capacity expansions may help ease the shortage. Substrate suppliers invest in capacity expansion but face time constraints, resulting in ongoing supply issues for the next 2 to 3 years.

Yole Intelligence’s semiconductor packaging team invites you to follow the technologies, related devices, applications, and markets on www.yolegroup.com.

  • In this regard, do not miss Bilal Hachemi’s presentation focused on advanced packaging technology & market trends at the 10th MINAPAD on June 7 in Grenoble, France.
  • In addition, Emilie Jolivet, Semiconductor, Memory and Computing Division Director at Yole Intelligence, will present at the 3D & SYSTEM SUMMIT 2023, in Dresden, Germany, on June 26: Chiplet Design and 2.5D Integration: Evolution or Revolution.

Stay tuned!

Acronyms

  • AP : Advanced Packaging
  • CAGR : Compound Annual Growth Rate
  • IC : Integrated Circuit
  • FCBGA : Flip-Chip Ball Grid Array
  • FCCSP : Flip-Chip Chip-Scale Package
  • ED : Embedded Die

Here you’ll find a wealth of practical technical insights and expert advice to help you bring AI and visual intelligence into your products without flying blind.

Contact

Address

1646 N. California Blvd.,
Suite 360
Walnut Creek, CA 94596 USA

Phone
Phone: +1 (925) 954-1411
Scroll to Top